• There are no items in your cart

IEEE 1076.1-2007

Superseded
Superseded

A superseded Standard is one, which is fully replaced by another Standard, which is a new edition of the same Standard.

View Superseded by
superseded

A superseded Standard is one, which is fully replaced by another Standard, which is a new edition of the same Standard.

IEEE Standard VHDL Analog and Mixed-Signal Extensions
Available format(s)

PDF

Superseded date

01-26-2018

Language(s)

English

Published date

11-15-2007

Foreword
IEEE introduction
0 Overview
1 Design entities and configurations
2 Subprograms and packages
3 Types and natures
4 Declarations
5 Specifications
6 Names
7 Expressions
8 Sequential statements
9 Concurrent statements
10 Scope and visibility
11 Design units and their analysis
12 Elaboration and execution
13 Lexical elements
14 Predefined language environment
15 Simultaneous statements
Annex A (informative) - Syntax summary
Annex B (informative) - Glossary
Annex C (informative) - Potentially nonportable constructs
Annex D (informative) - Changes from IEEE Std 1076.1-1999
Annex E (informative) - Features under consideration for
        removal
Annex F (informative) - Bibliography
Annex G (informative) - List of Participants
Index

Specifies the IEEE 1076.1(TM) language, a hardware description language for the description and the simulation of analog, digital, and mixed-signal systems.

Committee
Design Automation
DevelopmentNote
Supersedes IEEE DRAFT 1076.1. (12/2007)
DocumentType
Standard
ISBN
978-0-7381-5628-6
Pages
348
PublisherName
Institute of Electrical & Electronics Engineers
Status
Superseded
SupersededBy
Supersedes

IEEE 1076-2008 REDLINE IEEE Standard VHDL Language Reference Manual
BS IEC 61691-1-1:2011 Behavioural languages VHDL Language reference manual
IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual
SAE J 2546 : 2002 MODEL SPECIFICATION PROCESS STANDARD
IEEE 1076.1.1-2011 IEEE Standard for VHDL Analog and Mixed-Signal Extensions -- Packages for Multiple Energy Domain Support

IEEE 1076-2002 IEEE Standard VHDL Language Reference Manual
IEEE 1076.2-1996 IEEE Standard VHDL Mathematical Packages

View more information
US$348.08
Excluding Tax where applicable

Access your standards online with a subscription

Features

  • Simple online access to standards, technical information and regulations.

  • Critical updates of standards and customisable alerts and notifications.

  • Multi-user online standards collection: secure, flexible and cost effective.